linux-stable/drivers/net/wan
Linus Torvalds fc2e58b8b7 spi: Updates for v6.4
A fairly standard release for SPI with the exception of a change to the
 API for specifying chip selects done in preparation for supporting
 devices with more than one chip select, this required some mechanical
 changes throughout the tree which have been cooking in -next happily for
 a while.  There's also a new API to allow us to TPM chips on half duplex
 controllers.
 
 There's three commits in here that were mangled by a bad interaction
 between the alsa-devel mailing list software and b4, I didn't notice
 until there were merges on top with it being SPI not ALSA.  It seemed
 clear enough to not be worth going back and fixing.
 
  - Refactoring in preparation for supporting multiple chip selects for a
    single device, needed by some flash devices, which required a change
    in the SPI device API visible throughout the tree.
  - Support for hardware assisted interaction with SPI TPMs on half
    duplex controllers, implemented on nVidia Tedra210 QuadSPI.
  - Optimisation for large transfers on fsl-cpm devices.
  - Cleanups around device property use which fix some sisues with
    fwnode.
  - Use of both void remove() and devm_platform_.*ioremap_resource().
  - Support for AMD Pensando Elba, Amlogic A1, Cadence device mode,
    Intel MetorLake-S and StarFive J7110 QuadSPI.
 
 The final commit converting to DEV_PM_OPS() was applied late to fix a
 warning that was introduced by some of the earlier work.
 -----BEGIN PGP SIGNATURE-----
 
 iQEzBAABCgAdFiEEreZoqmdXGLWf4p/qJNaLcl1Uh9AFAmRIFQgACgkQJNaLcl1U
 h9BJOwf+JF2RySdn5g1LsyTndPZhLfw4iJgTHaMlnv5tiPHvYVYMM/mNMbMr5Znh
 Y2T0OUkzuRfOK273C+hItC1bTYFTa2cEbDb5dpmKBOZdQ3hjGsZQBvuH2bScUQ+a
 H7UgD3FYOJST6k6rRgZQxVMPePFrXAOaO1gmFWTR3v1EcEr2JeQnjZsmymFXcTnc
 CtPg9N3RvhVnq5aXuxSgQeyyKIjo4LJh/eZ2mexPIu0DeUq3MftaWwSwCXFIoeNC
 DMLA4mZWTgf/yt6JUALwLr+bIiJjb4qGjp3xGZ2wmX7zn73f9QQvuunKb1V4zbNF
 EdXLo2VjA9cZjsihenBaKeHnkfgNfA==
 =IRqY
 -----END PGP SIGNATURE-----

Merge tag 'spi-v6.4' of git://git.kernel.org/pub/scm/linux/kernel/git/broonie/spi

Pull spi updates from Mark Brown:
 "A fairly standard release for SPI with the exception of a change to
  the API for specifying chip selects done in preparation for supporting
  devices with more than one chip select, this required some mechanical
  changes throughout the tree which have been cooking in -next happily
  for a while.

  There's also a new API to allow us to support TPM chips on half duplex
  controllers.

  Summary:

   - Refactoring in preparation for supporting multiple chip selects for
     a single device, needed by some flash devices, which required a
     change in the SPI device API visible throughout the tree

   - Support for hardware assisted interaction with SPI TPMs on half
     duplex controllers, implemented on nVidia Tedra210 QuadSPI

   - Optimisation for large transfers on fsl-cpm devices

   - Cleanups around device property use which fix some sisues with
     fwnode

   - Use of both void remove() and devm_platform_.*ioremap_resource()

   - Support for AMD Pensando Elba, Amlogic A1, Cadence device mode,
     Intel MetorLake-S and StarFive J7110 QuadSPI"

* tag 'spi-v6.4' of git://git.kernel.org/pub/scm/linux/kernel/git/broonie/spi: (185 commits)
  spi: bcm63xx: use macro DEFINE_SIMPLE_DEV_PM_OPS
  spi: tegra210-quad: Enable TPM wait polling
  spi: Add TPM HW flow flag
  spi: bcm63xx: remove PM_SLEEP based conditional compilation
  spi: cadence-quadspi: use macro DEFINE_SIMPLE_DEV_PM_OPS
  spi: spi-cadence: Add support for Slave mode
  spi: spi-cadence: Switch to spi_controller structure
  spi: cadence-quadspi: fix suspend-resume implementations
  spi: dw: Add support for AMD Pensando Elba SoC
  spi: dw: Add AMD Pensando Elba SoC SPI Controller
  spi: cadence-quadspi: Disable the SPI before reconfiguring
  spi: cadence-quadspi: Update the read timeout based on the length
  spi: spi-loopback-test: Add module param for iteration length
  spi: add support for Amlogic A1 SPI Flash Controller
  dt-bindings: spi: add Amlogic A1 SPI controller
  spi: fsl-spi: No need to check transfer length versus word size
  spi: fsl-spi: Change mspi_apply_cpu_mode_quirks() to void
  spi: fsl-cpm: Use 16 bit mode for large transfers with even size
  spi: fsl-spi: Re-organise transfer bits_per_word adaptation
  spi: fsl-spi: Fix CPM/QE mode Litte Endian
  ...
2023-04-27 11:02:26 -07:00
..
.gitignore
Kconfig net: wan: remove support for Z85230-based devices 2022-04-27 12:22:56 +01:00
Makefile net: wan: remove support for Z85230-based devices 2022-04-27 12:22:56 +01:00
c101.c net: split out ndo_siowandev ioctl 2021-07-27 20:11:45 +01:00
farsync.c net: farsync: Fix kmemleak when rmmods farsync 2022-12-12 09:42:45 +00:00
farsync.h WAN: Fix syntax errors in comments 2022-06-07 10:45:25 +02:00
fsl_ucc_hdlc.c net: Use of_property_read_bool() for boolean properties 2023-03-16 17:41:28 +00:00
fsl_ucc_hdlc.h
hd64570.c net: hd64570: add some required spaces 2021-06-07 12:25:21 -07:00
hd64570.h
hd64572.c net: wan: switch to netif_napi_add_weight() 2022-05-08 11:33:57 +01:00
hd64572.h
hdlc.c net: split out ndo_siowandev ioctl 2021-07-27 20:11:45 +01:00
hdlc_cisco.c net: split out ndo_siowandev ioctl 2021-07-27 20:11:45 +01:00
hdlc_fr.c net: hldc_fr: use dev_addr_set() 2021-10-22 10:16:18 -07:00
hdlc_ppp.c net: split out ndo_siowandev ioctl 2021-07-27 20:11:45 +01:00
hdlc_raw.c net: split out ndo_siowandev ioctl 2021-07-27 20:11:45 +01:00
hdlc_raw_eth.c net: split out ndo_siowandev ioctl 2021-07-27 20:11:45 +01:00
hdlc_x25.c net: split out ndo_siowandev ioctl 2021-07-27 20:11:45 +01:00
ixp4xx_hss.c net: wan: switch to netif_napi_add_weight() 2022-05-08 11:33:57 +01:00
lapbether.c net: lapbether: fix issue of invalid opcode in lapbeth_open() 2022-11-08 12:47:36 +01:00
n2.c net: split out ndo_siowandev ioctl 2021-07-27 20:11:45 +01:00
pc300too.c net: split out ndo_siowandev ioctl 2021-07-27 20:11:45 +01:00
pci200syn.c net: split out ndo_siowandev ioctl 2021-07-27 20:11:45 +01:00
slic_ds26522.c net: Replace all spi->chip_select and spi->cs_gpiod references with function call 2023-03-11 12:34:02 +00:00
slic_ds26522.h
wanxl.c net: split out ndo_siowandev ioctl 2021-07-27 20:11:45 +01:00
wanxl.h
wanxlfw.S
wanxlfw.inc_shipped